Guest User

Untitled

a guest
Jul 1st, 2023
18
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 37.81 KB | None | 0 0
  1. Loaded HAL Components:
  2. ID Type Name PID State
  3. 7 RT hm2_eth ready
  4.  
  5. Component Pins:
  6. Owner Type Dir Value Name
  7. 7 s32 OUT 0 hm2_7i92.0.0.debug
  8. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-00
  9. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-00-not
  10. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-01
  11. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-01-not
  12. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-02
  13. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-02-not
  14. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-03
  15. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-03-not
  16. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-04
  17. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-04-not
  18. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-05
  19. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-05-not
  20. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-06
  21. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-06-not
  22. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-07
  23. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-07-not
  24. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-08
  25. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-08-not
  26. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-09
  27. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-09-not
  28. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-10
  29. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-10-not
  30. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-11
  31. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-11-not
  32. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-12
  33. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-12-not
  34. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-13
  35. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-13-not
  36. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-14
  37. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-14-not
  38. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-15
  39. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-15-not
  40. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-16
  41. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-16-not
  42. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-17
  43. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-17-not
  44. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-18
  45. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-18-not
  46. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-19
  47. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-19-not
  48. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-20
  49. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-20-not
  50. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-21
  51. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-21-not
  52. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-22
  53. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-22-not
  54. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-23
  55. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-23-not
  56. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-24
  57. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-24-not
  58. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-25
  59. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-25-not
  60. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-26
  61. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-26-not
  62. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-27
  63. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-27-not
  64. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-28
  65. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-28-not
  66. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-29
  67. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-29-not
  68. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-30
  69. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-30-not
  70. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-31
  71. 7 bit OUT FALSE hm2_7i92.0.7i77.0.3.input-31-not
  72. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-00
  73. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-01
  74. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-02
  75. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-03
  76. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-04
  77. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-05
  78. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-06
  79. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-07
  80. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-08
  81. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-09
  82. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-10
  83. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-11
  84. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-12
  85. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-13
  86. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-14
  87. 7 bit IN FALSE hm2_7i92.0.7i77.0.3.output-15
  88. 7 bit IN FALSE hm2_7i92.0.7i77.0.4.analogena
  89. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout0
  90. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout1
  91. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout2
  92. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout3
  93. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout4
  94. 7 float IN 0 hm2_7i92.0.7i77.0.4.analogout5
  95. 7 bit IN FALSE hm2_7i92.0.7i77.0.4.spinena
  96. 7 float IN 100 hm2_7i92.0.dpll.01.timer-us
  97. 7 float IN 100 hm2_7i92.0.dpll.02.timer-us
  98. 7 float IN 100 hm2_7i92.0.dpll.03.timer-us
  99. 7 float IN 100 hm2_7i92.0.dpll.04.timer-us
  100. 7 float IN -1 hm2_7i92.0.dpll.base-freq-khz
  101. 7 u32 OUT 0x00000000 hm2_7i92.0.dpll.ddsize
  102. 7 float OUT 0 hm2_7i92.0.dpll.phase-error-us
  103. 7 u32 IN 0x00400000 hm2_7i92.0.dpll.plimit
  104. 7 u32 OUT 0x00000001 hm2_7i92.0.dpll.prescale
  105. 7 u32 IN 0x000007D0 hm2_7i92.0.dpll.time-const
  106. 7 s32 OUT 0 hm2_7i92.0.encoder.00.count
  107. 7 s32 OUT 0 hm2_7i92.0.encoder.00.count-latched
  108. 7 bit I/O FALSE hm2_7i92.0.encoder.00.index-enable
  109. 7 bit OUT TRUE hm2_7i92.0.encoder.00.input-a
  110. 7 bit OUT TRUE hm2_7i92.0.encoder.00.input-b
  111. 7 bit OUT FALSE hm2_7i92.0.encoder.00.input-index
  112. 7 float OUT 0 hm2_7i92.0.encoder.00.position
  113. 7 float OUT 0 hm2_7i92.0.encoder.00.position-latched
  114. 7 bit OUT FALSE hm2_7i92.0.encoder.00.quad-error
  115. 7 bit IN FALSE hm2_7i92.0.encoder.00.quad-error-enable
  116. 7 s32 OUT 0 hm2_7i92.0.encoder.00.rawcounts
  117. 7 s32 OUT 0 hm2_7i92.0.encoder.00.rawlatch
  118. 7 bit IN FALSE hm2_7i92.0.encoder.00.reset
  119. 7 float OUT 0 hm2_7i92.0.encoder.00.velocity
  120. 7 float OUT 0 hm2_7i92.0.encoder.00.velocity-rpm
  121. 7 s32 OUT 0 hm2_7i92.0.encoder.01.count
  122. 7 s32 OUT 0 hm2_7i92.0.encoder.01.count-latched
  123. 7 bit I/O FALSE hm2_7i92.0.encoder.01.index-enable
  124. 7 bit OUT TRUE hm2_7i92.0.encoder.01.input-a
  125. 7 bit OUT TRUE hm2_7i92.0.encoder.01.input-b
  126. 7 bit OUT FALSE hm2_7i92.0.encoder.01.input-index
  127. 7 float OUT 0 hm2_7i92.0.encoder.01.position
  128. 7 float OUT 0 hm2_7i92.0.encoder.01.position-latched
  129. 7 bit OUT FALSE hm2_7i92.0.encoder.01.quad-error
  130. 7 bit IN FALSE hm2_7i92.0.encoder.01.quad-error-enable
  131. 7 s32 OUT 0 hm2_7i92.0.encoder.01.rawcounts
  132. 7 s32 OUT 0 hm2_7i92.0.encoder.01.rawlatch
  133. 7 bit IN FALSE hm2_7i92.0.encoder.01.reset
  134. 7 float OUT 0 hm2_7i92.0.encoder.01.velocity
  135. 7 float OUT 0 hm2_7i92.0.encoder.01.velocity-rpm
  136. 7 s32 OUT 0 hm2_7i92.0.encoder.02.count
  137. 7 s32 OUT 0 hm2_7i92.0.encoder.02.count-latched
  138. 7 bit I/O FALSE hm2_7i92.0.encoder.02.index-enable
  139. 7 bit OUT TRUE hm2_7i92.0.encoder.02.input-a
  140. 7 bit OUT TRUE hm2_7i92.0.encoder.02.input-b
  141. 7 bit OUT FALSE hm2_7i92.0.encoder.02.input-index
  142. 7 float OUT 0 hm2_7i92.0.encoder.02.position
  143. 7 float OUT 0 hm2_7i92.0.encoder.02.position-latched
  144. 7 bit OUT FALSE hm2_7i92.0.encoder.02.quad-error
  145. 7 bit IN FALSE hm2_7i92.0.encoder.02.quad-error-enable
  146. 7 s32 OUT 0 hm2_7i92.0.encoder.02.rawcounts
  147. 7 s32 OUT 0 hm2_7i92.0.encoder.02.rawlatch
  148. 7 bit IN FALSE hm2_7i92.0.encoder.02.reset
  149. 7 float OUT 0 hm2_7i92.0.encoder.02.velocity
  150. 7 float OUT 0 hm2_7i92.0.encoder.02.velocity-rpm
  151. 7 s32 OUT 0 hm2_7i92.0.encoder.03.count
  152. 7 s32 OUT 0 hm2_7i92.0.encoder.03.count-latched
  153. 7 bit I/O FALSE hm2_7i92.0.encoder.03.index-enable
  154. 7 bit OUT TRUE hm2_7i92.0.encoder.03.input-a
  155. 7 bit OUT TRUE hm2_7i92.0.encoder.03.input-b
  156. 7 bit OUT FALSE hm2_7i92.0.encoder.03.input-index
  157. 7 float OUT 0 hm2_7i92.0.encoder.03.position
  158. 7 float OUT 0 hm2_7i92.0.encoder.03.position-latched
  159. 7 bit OUT FALSE hm2_7i92.0.encoder.03.quad-error
  160. 7 bit IN FALSE hm2_7i92.0.encoder.03.quad-error-enable
  161. 7 s32 OUT 0 hm2_7i92.0.encoder.03.rawcounts
  162. 7 s32 OUT 0 hm2_7i92.0.encoder.03.rawlatch
  163. 7 bit IN FALSE hm2_7i92.0.encoder.03.reset
  164. 7 float OUT 0 hm2_7i92.0.encoder.03.velocity
  165. 7 float OUT 0 hm2_7i92.0.encoder.03.velocity-rpm
  166. 7 s32 OUT 0 hm2_7i92.0.encoder.04.count
  167. 7 s32 OUT 0 hm2_7i92.0.encoder.04.count-latched
  168. 7 bit I/O FALSE hm2_7i92.0.encoder.04.index-enable
  169. 7 bit OUT TRUE hm2_7i92.0.encoder.04.input-a
  170. 7 bit OUT TRUE hm2_7i92.0.encoder.04.input-b
  171. 7 bit OUT FALSE hm2_7i92.0.encoder.04.input-index
  172. 7 float OUT 0 hm2_7i92.0.encoder.04.position
  173. 7 float OUT 0 hm2_7i92.0.encoder.04.position-latched
  174. 7 bit OUT FALSE hm2_7i92.0.encoder.04.quad-error
  175. 7 bit IN FALSE hm2_7i92.0.encoder.04.quad-error-enable
  176. 7 s32 OUT 0 hm2_7i92.0.encoder.04.rawcounts
  177. 7 s32 OUT 0 hm2_7i92.0.encoder.04.rawlatch
  178. 7 bit IN FALSE hm2_7i92.0.encoder.04.reset
  179. 7 float OUT 0 hm2_7i92.0.encoder.04.velocity
  180. 7 float OUT 0 hm2_7i92.0.encoder.04.velocity-rpm
  181. 7 s32 OUT 0 hm2_7i92.0.encoder.05.count
  182. 7 s32 OUT 0 hm2_7i92.0.encoder.05.count-latched
  183. 7 bit I/O FALSE hm2_7i92.0.encoder.05.index-enable
  184. 7 bit OUT TRUE hm2_7i92.0.encoder.05.input-a
  185. 7 bit OUT TRUE hm2_7i92.0.encoder.05.input-b
  186. 7 bit OUT FALSE hm2_7i92.0.encoder.05.input-index
  187. 7 float OUT 0 hm2_7i92.0.encoder.05.position
  188. 7 float OUT 0 hm2_7i92.0.encoder.05.position-latched
  189. 7 bit OUT FALSE hm2_7i92.0.encoder.05.quad-error
  190. 7 bit IN FALSE hm2_7i92.0.encoder.05.quad-error-enable
  191. 7 s32 OUT 0 hm2_7i92.0.encoder.05.rawcounts
  192. 7 s32 OUT 0 hm2_7i92.0.encoder.05.rawlatch
  193. 7 bit IN FALSE hm2_7i92.0.encoder.05.reset
  194. 7 float OUT 0 hm2_7i92.0.encoder.05.velocity
  195. 7 float OUT 0 hm2_7i92.0.encoder.05.velocity-rpm
  196. 7 s32 OUT 0 hm2_7i92.0.encoder.06.count
  197. 7 s32 OUT 0 hm2_7i92.0.encoder.06.count-latched
  198. 7 bit I/O FALSE hm2_7i92.0.encoder.06.index-enable
  199. 7 bit OUT TRUE hm2_7i92.0.encoder.06.input-a
  200. 7 bit OUT TRUE hm2_7i92.0.encoder.06.input-b
  201. 7 bit OUT TRUE hm2_7i92.0.encoder.06.input-index
  202. 7 float OUT 0 hm2_7i92.0.encoder.06.position
  203. 7 float OUT 0 hm2_7i92.0.encoder.06.position-latched
  204. 7 bit OUT FALSE hm2_7i92.0.encoder.06.quad-error
  205. 7 bit IN FALSE hm2_7i92.0.encoder.06.quad-error-enable
  206. 7 s32 OUT 65534 hm2_7i92.0.encoder.06.rawcounts
  207. 7 s32 OUT 65534 hm2_7i92.0.encoder.06.rawlatch
  208. 7 bit IN FALSE hm2_7i92.0.encoder.06.reset
  209. 7 float OUT 0 hm2_7i92.0.encoder.06.velocity
  210. 7 float OUT 0 hm2_7i92.0.encoder.06.velocity-rpm
  211. 7 s32 OUT 0 hm2_7i92.0.encoder.07.count
  212. 7 s32 OUT 0 hm2_7i92.0.encoder.07.count-latched
  213. 7 bit I/O FALSE hm2_7i92.0.encoder.07.index-enable
  214. 7 bit OUT FALSE hm2_7i92.0.encoder.07.input-a
  215. 7 bit OUT TRUE hm2_7i92.0.encoder.07.input-b
  216. 7 bit OUT FALSE hm2_7i92.0.encoder.07.input-index
  217. 7 float OUT 0 hm2_7i92.0.encoder.07.position
  218. 7 float OUT 0 hm2_7i92.0.encoder.07.position-latched
  219. 7 bit OUT FALSE hm2_7i92.0.encoder.07.quad-error
  220. 7 bit IN FALSE hm2_7i92.0.encoder.07.quad-error-enable
  221. 7 s32 OUT 65535 hm2_7i92.0.encoder.07.rawcounts
  222. 7 s32 OUT 65535 hm2_7i92.0.encoder.07.rawlatch
  223. 7 bit IN FALSE hm2_7i92.0.encoder.07.reset
  224. 7 float OUT 0 hm2_7i92.0.encoder.07.velocity
  225. 7 float OUT 0 hm2_7i92.0.encoder.07.velocity-rpm
  226. 7 s32 OUT 0 hm2_7i92.0.encoder.08.count
  227. 7 s32 OUT 0 hm2_7i92.0.encoder.08.count-latched
  228. 7 bit I/O FALSE hm2_7i92.0.encoder.08.index-enable
  229. 7 bit OUT TRUE hm2_7i92.0.encoder.08.input-a
  230. 7 bit OUT FALSE hm2_7i92.0.encoder.08.input-b
  231. 7 bit OUT TRUE hm2_7i92.0.encoder.08.input-index
  232. 7 float OUT 0 hm2_7i92.0.encoder.08.position
  233. 7 float OUT 0 hm2_7i92.0.encoder.08.position-latched
  234. 7 bit OUT FALSE hm2_7i92.0.encoder.08.quad-error
  235. 7 bit IN FALSE hm2_7i92.0.encoder.08.quad-error-enable
  236. 7 s32 OUT 1 hm2_7i92.0.encoder.08.rawcounts
  237. 7 s32 OUT 1 hm2_7i92.0.encoder.08.rawlatch
  238. 7 bit IN FALSE hm2_7i92.0.encoder.08.reset
  239. 7 float OUT 0 hm2_7i92.0.encoder.08.velocity
  240. 7 float OUT 0 hm2_7i92.0.encoder.08.velocity-rpm
  241. 7 s32 OUT 0 hm2_7i92.0.encoder.09.count
  242. 7 s32 OUT 0 hm2_7i92.0.encoder.09.count-latched
  243. 7 bit I/O FALSE hm2_7i92.0.encoder.09.index-enable
  244. 7 bit OUT TRUE hm2_7i92.0.encoder.09.input-a
  245. 7 bit OUT FALSE hm2_7i92.0.encoder.09.input-b
  246. 7 bit OUT FALSE hm2_7i92.0.encoder.09.input-index
  247. 7 float OUT 0 hm2_7i92.0.encoder.09.position
  248. 7 float OUT 0 hm2_7i92.0.encoder.09.position-latched
  249. 7 bit OUT FALSE hm2_7i92.0.encoder.09.quad-error
  250. 7 bit IN FALSE hm2_7i92.0.encoder.09.quad-error-enable
  251. 7 s32 OUT 1 hm2_7i92.0.encoder.09.rawcounts
  252. 7 s32 OUT 1 hm2_7i92.0.encoder.09.rawlatch
  253. 7 bit IN FALSE hm2_7i92.0.encoder.09.reset
  254. 7 float OUT 0 hm2_7i92.0.encoder.09.velocity
  255. 7 float OUT 0 hm2_7i92.0.encoder.09.velocity-rpm
  256. 7 s32 OUT 0 hm2_7i92.0.encoder.10.count
  257. 7 s32 OUT 0 hm2_7i92.0.encoder.10.count-latched
  258. 7 bit I/O FALSE hm2_7i92.0.encoder.10.index-enable
  259. 7 bit OUT FALSE hm2_7i92.0.encoder.10.input-a
  260. 7 bit OUT FALSE hm2_7i92.0.encoder.10.input-b
  261. 7 bit OUT FALSE hm2_7i92.0.encoder.10.input-index
  262. 7 float OUT 0 hm2_7i92.0.encoder.10.position
  263. 7 float OUT 0 hm2_7i92.0.encoder.10.position-latched
  264. 7 bit OUT FALSE hm2_7i92.0.encoder.10.quad-error
  265. 7 bit IN FALSE hm2_7i92.0.encoder.10.quad-error-enable
  266. 7 s32 OUT 0 hm2_7i92.0.encoder.10.rawcounts
  267. 7 s32 OUT 0 hm2_7i92.0.encoder.10.rawlatch
  268. 7 bit IN FALSE hm2_7i92.0.encoder.10.reset
  269. 7 float OUT 0 hm2_7i92.0.encoder.10.velocity
  270. 7 float OUT 0 hm2_7i92.0.encoder.10.velocity-rpm
  271. 7 s32 OUT 0 hm2_7i92.0.encoder.11.count
  272. 7 s32 OUT 0 hm2_7i92.0.encoder.11.count-latched
  273. 7 bit I/O FALSE hm2_7i92.0.encoder.11.index-enable
  274. 7 bit OUT FALSE hm2_7i92.0.encoder.11.input-a
  275. 7 bit OUT FALSE hm2_7i92.0.encoder.11.input-b
  276. 7 bit OUT FALSE hm2_7i92.0.encoder.11.input-index
  277. 7 float OUT 0 hm2_7i92.0.encoder.11.position
  278. 7 float OUT 0 hm2_7i92.0.encoder.11.position-latched
  279. 7 bit OUT FALSE hm2_7i92.0.encoder.11.quad-error
  280. 7 bit IN FALSE hm2_7i92.0.encoder.11.quad-error-enable
  281. 7 s32 OUT 0 hm2_7i92.0.encoder.11.rawcounts
  282. 7 s32 OUT 0 hm2_7i92.0.encoder.11.rawlatch
  283. 7 bit IN FALSE hm2_7i92.0.encoder.11.reset
  284. 7 float OUT 0 hm2_7i92.0.encoder.11.velocity
  285. 7 float OUT 0 hm2_7i92.0.encoder.11.velocity-rpm
  286. 7 bit IN FALSE hm2_7i92.0.encoder.hires-timestamp
  287. 7 u32 IN 0x007F2815 hm2_7i92.0.encoder.muxed-sample-frequency
  288. 7 u32 IN 0x00000000 hm2_7i92.0.encoder.muxed-skew
  289. 7 s32 IN -1 hm2_7i92.0.encoder.timer-number
  290. 7 bit OUT TRUE hm2_7i92.0.gpio.000.in
  291. 7 bit OUT FALSE hm2_7i92.0.gpio.000.in_not
  292. 7 bit IN FALSE hm2_7i92.0.gpio.000.out
  293. 7 bit OUT TRUE hm2_7i92.0.gpio.001.in
  294. 7 bit OUT FALSE hm2_7i92.0.gpio.001.in_not
  295. 7 bit IN FALSE hm2_7i92.0.gpio.001.out
  296. 7 bit OUT TRUE hm2_7i92.0.gpio.002.in
  297. 7 bit OUT FALSE hm2_7i92.0.gpio.002.in_not
  298. 7 bit IN FALSE hm2_7i92.0.gpio.002.out
  299. 7 bit OUT TRUE hm2_7i92.0.gpio.003.in
  300. 7 bit OUT FALSE hm2_7i92.0.gpio.003.in_not
  301. 7 bit IN FALSE hm2_7i92.0.gpio.003.out
  302. 7 bit OUT TRUE hm2_7i92.0.gpio.004.in
  303. 7 bit OUT FALSE hm2_7i92.0.gpio.004.in_not
  304. 7 bit IN FALSE hm2_7i92.0.gpio.004.out
  305. 7 bit OUT TRUE hm2_7i92.0.gpio.005.in
  306. 7 bit OUT FALSE hm2_7i92.0.gpio.005.in_not
  307. 7 bit IN FALSE hm2_7i92.0.gpio.005.out
  308. 7 bit OUT TRUE hm2_7i92.0.gpio.006.in
  309. 7 bit OUT FALSE hm2_7i92.0.gpio.006.in_not
  310. 7 bit IN FALSE hm2_7i92.0.gpio.006.out
  311. 7 bit OUT FALSE hm2_7i92.0.gpio.007.in
  312. 7 bit OUT TRUE hm2_7i92.0.gpio.007.in_not
  313. 7 bit OUT TRUE hm2_7i92.0.gpio.008.in
  314. 7 bit OUT FALSE hm2_7i92.0.gpio.008.in_not
  315. 7 bit OUT TRUE hm2_7i92.0.gpio.009.in
  316. 7 bit OUT FALSE hm2_7i92.0.gpio.009.in_not
  317. 7 bit OUT TRUE hm2_7i92.0.gpio.010.in
  318. 7 bit OUT FALSE hm2_7i92.0.gpio.010.in_not
  319. 7 bit OUT TRUE hm2_7i92.0.gpio.011.in
  320. 7 bit OUT FALSE hm2_7i92.0.gpio.011.in_not
  321. 7 bit OUT TRUE hm2_7i92.0.gpio.012.in
  322. 7 bit OUT FALSE hm2_7i92.0.gpio.012.in_not
  323. 7 bit OUT TRUE hm2_7i92.0.gpio.013.in
  324. 7 bit OUT FALSE hm2_7i92.0.gpio.013.in_not
  325. 7 bit OUT TRUE hm2_7i92.0.gpio.014.in
  326. 7 bit OUT FALSE hm2_7i92.0.gpio.014.in_not
  327. 7 bit OUT TRUE hm2_7i92.0.gpio.015.in
  328. 7 bit OUT FALSE hm2_7i92.0.gpio.015.in_not
  329. 7 bit OUT TRUE hm2_7i92.0.gpio.016.in
  330. 7 bit OUT FALSE hm2_7i92.0.gpio.016.in_not
  331. 7 bit OUT TRUE hm2_7i92.0.gpio.017.in
  332. 7 bit OUT FALSE hm2_7i92.0.gpio.017.in_not
  333. 7 bit IN FALSE hm2_7i92.0.gpio.017.out
  334. 7 bit OUT TRUE hm2_7i92.0.gpio.018.in
  335. 7 bit OUT FALSE hm2_7i92.0.gpio.018.in_not
  336. 7 bit IN FALSE hm2_7i92.0.gpio.018.out
  337. 7 bit OUT TRUE hm2_7i92.0.gpio.019.in
  338. 7 bit OUT FALSE hm2_7i92.0.gpio.019.in_not
  339. 7 bit IN FALSE hm2_7i92.0.gpio.019.out
  340. 7 bit OUT TRUE hm2_7i92.0.gpio.020.in
  341. 7 bit OUT FALSE hm2_7i92.0.gpio.020.in_not
  342. 7 bit OUT TRUE hm2_7i92.0.gpio.021.in
  343. 7 bit OUT FALSE hm2_7i92.0.gpio.021.in_not
  344. 7 bit OUT TRUE hm2_7i92.0.gpio.022.in
  345. 7 bit OUT FALSE hm2_7i92.0.gpio.022.in_not
  346. 7 bit OUT TRUE hm2_7i92.0.gpio.023.in
  347. 7 bit OUT FALSE hm2_7i92.0.gpio.023.in_not
  348. 7 bit OUT FALSE hm2_7i92.0.gpio.024.in
  349. 7 bit OUT TRUE hm2_7i92.0.gpio.024.in_not
  350. 7 bit OUT FALSE hm2_7i92.0.gpio.025.in
  351. 7 bit OUT TRUE hm2_7i92.0.gpio.025.in_not
  352. 7 bit OUT TRUE hm2_7i92.0.gpio.026.in
  353. 7 bit OUT FALSE hm2_7i92.0.gpio.026.in_not
  354. 7 bit OUT TRUE hm2_7i92.0.gpio.027.in
  355. 7 bit OUT FALSE hm2_7i92.0.gpio.027.in_not
  356. 7 bit OUT TRUE hm2_7i92.0.gpio.028.in
  357. 7 bit OUT FALSE hm2_7i92.0.gpio.028.in_not
  358. 7 bit OUT FALSE hm2_7i92.0.gpio.029.in
  359. 7 bit OUT TRUE hm2_7i92.0.gpio.029.in_not
  360. 7 bit OUT TRUE hm2_7i92.0.gpio.030.in
  361. 7 bit OUT FALSE hm2_7i92.0.gpio.030.in_not
  362. 7 bit OUT FALSE hm2_7i92.0.gpio.031.in
  363. 7 bit OUT TRUE hm2_7i92.0.gpio.031.in_not
  364. 7 bit OUT FALSE hm2_7i92.0.gpio.032.in
  365. 7 bit OUT TRUE hm2_7i92.0.gpio.032.in_not
  366. 7 bit OUT TRUE hm2_7i92.0.gpio.033.in
  367. 7 bit OUT FALSE hm2_7i92.0.gpio.033.in_not
  368. 7 bit IN FALSE hm2_7i92.0.led.CR01
  369. 7 bit IN FALSE hm2_7i92.0.led.CR02
  370. 7 bit IN FALSE hm2_7i92.0.led.CR03
  371. 7 bit IN FALSE hm2_7i92.0.led.CR04
  372. 7 bit OUT FALSE hm2_7i92.0.packet-error
  373. 7 bit OUT FALSE hm2_7i92.0.packet-error-exceeded
  374. 7 s32 OUT 0 hm2_7i92.0.packet-error-level
  375. 7 u32 I/O 0x00000000 hm2_7i92.0.packet-error-total
  376. 7 s32 OUT 0 hm2_7i92.0.read-request.time
  377. 7 s32 OUT 0 hm2_7i92.0.read.time
  378. 7 u32 OUT 0x00000000 hm2_7i92.0.sserial.port-0.fault-count
  379. 7 u32 OUT 0x00000000 hm2_7i92.0.sserial.port-0.port_state
  380. 7 u32 OUT 0x00000000 hm2_7i92.0.sserial.port-0.port_state2
  381. 7 u32 OUT 0x00000000 hm2_7i92.0.sserial.port-0.port_state3
  382. 7 bit IN TRUE hm2_7i92.0.sserial.port-0.run
  383. 7 bit I/O FALSE hm2_7i92.0.watchdog.has_bit
  384. 7 s32 OUT 0 hm2_7i92.0.write.time
  385.  
  386. Pin Aliases:
  387. Alias Original Name
  388.  
  389. Signals:
  390. Type Value Name (linked to)
  391.  
  392. Parameters:
  393. Owner Type Dir Value Name
  394. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.analogin0
  395. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.analogin1
  396. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.analogin2
  397. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.analogin3
  398. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.encmode0
  399. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.encmode1
  400. 7 u32 RO 0x0000A400 hm2_7i92.0.7i77.0.3.fieldvoltage
  401. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.nvencmode0
  402. 7 u32 RO 0x00000000 hm2_7i92.0.7i77.0.3.nvencmode1
  403. 7 u32 RO 0x12000AEE hm2_7i92.0.7i77.0.3.nvunitnumber
  404. 7 u32 RW 0x00000032 hm2_7i92.0.7i77.0.3.nvwatchdogtimeout
  405. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-00-invert
  406. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-01-invert
  407. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-02-invert
  408. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-03-invert
  409. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-04-invert
  410. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-05-invert
  411. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-06-invert
  412. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-07-invert
  413. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-08-invert
  414. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-09-invert
  415. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-10-invert
  416. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-11-invert
  417. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-12-invert
  418. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-13-invert
  419. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-14-invert
  420. 7 bit RW FALSE hm2_7i92.0.7i77.0.3.output-15-invert
  421. 7 u32 RO 0x0000000E hm2_7i92.0.7i77.0.3.swrevision
  422. 7 bit RW FALSE hm2_7i92.0.7i77.0.4.analogena-invert
  423. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout0-maxlim
  424. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout0-minlim
  425. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout0-scalemax
  426. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout1-maxlim
  427. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout1-minlim
  428. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout1-scalemax
  429. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout2-maxlim
  430. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout2-minlim
  431. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout2-scalemax
  432. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout3-maxlim
  433. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout3-minlim
  434. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout3-scalemax
  435. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout4-maxlim
  436. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout4-minlim
  437. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout4-scalemax
  438. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout5-maxlim
  439. 7 float RW -10 hm2_7i92.0.7i77.0.4.analogout5-minlim
  440. 7 float RW 10 hm2_7i92.0.7i77.0.4.analogout5-scalemax
  441. 7 u32 RW 0x00000001 hm2_7i92.0.7i77.0.4.hwrevision
  442. 7 u32 RO 0x11000AEE hm2_7i92.0.7i77.0.4.nvunitnumber
  443. 7 u32 RW 0x00000032 hm2_7i92.0.7i77.0.4.nvwatchdogtimeout
  444. 7 bit RW FALSE hm2_7i92.0.7i77.0.4.spinena-invert
  445. 7 u32 RW 0x0000000E hm2_7i92.0.7i77.0.4.swrevision
  446. 7 bit RW FALSE hm2_7i92.0.encoder.00.counter-mode
  447. 7 bit RW TRUE hm2_7i92.0.encoder.00.filter
  448. 7 bit RW FALSE hm2_7i92.0.encoder.00.index-invert
  449. 7 bit RW FALSE hm2_7i92.0.encoder.00.index-mask
  450. 7 bit RW FALSE hm2_7i92.0.encoder.00.index-mask-invert
  451. 7 float RW 1 hm2_7i92.0.encoder.00.scale
  452. 7 bit RW FALSE hm2_7i92.0.encoder.00.sel0.invert_output
  453. 7 bit RW FALSE hm2_7i92.0.encoder.00.sel0.is_opendrain
  454. 7 float RW 0.5 hm2_7i92.0.encoder.00.vel-timeout
  455. 7 bit RW FALSE hm2_7i92.0.encoder.01.counter-mode
  456. 7 bit RW TRUE hm2_7i92.0.encoder.01.filter
  457. 7 bit RW FALSE hm2_7i92.0.encoder.01.index-invert
  458. 7 bit RW FALSE hm2_7i92.0.encoder.01.index-mask
  459. 7 bit RW FALSE hm2_7i92.0.encoder.01.index-mask-invert
  460. 7 float RW 1 hm2_7i92.0.encoder.01.scale
  461. 7 float RW 0.5 hm2_7i92.0.encoder.01.vel-timeout
  462. 7 bit RW FALSE hm2_7i92.0.encoder.02.counter-mode
  463. 7 bit RW TRUE hm2_7i92.0.encoder.02.filter
  464. 7 bit RW FALSE hm2_7i92.0.encoder.02.index-invert
  465. 7 bit RW FALSE hm2_7i92.0.encoder.02.index-mask
  466. 7 bit RW FALSE hm2_7i92.0.encoder.02.index-mask-invert
  467. 7 float RW 1 hm2_7i92.0.encoder.02.scale
  468. 7 float RW 0.5 hm2_7i92.0.encoder.02.vel-timeout
  469. 7 bit RW FALSE hm2_7i92.0.encoder.03.counter-mode
  470. 7 bit RW TRUE hm2_7i92.0.encoder.03.filter
  471. 7 bit RW FALSE hm2_7i92.0.encoder.03.index-invert
  472. 7 bit RW FALSE hm2_7i92.0.encoder.03.index-mask
  473. 7 bit RW FALSE hm2_7i92.0.encoder.03.index-mask-invert
  474. 7 float RW 1 hm2_7i92.0.encoder.03.scale
  475. 7 float RW 0.5 hm2_7i92.0.encoder.03.vel-timeout
  476. 7 bit RW FALSE hm2_7i92.0.encoder.04.counter-mode
  477. 7 bit RW TRUE hm2_7i92.0.encoder.04.filter
  478. 7 bit RW FALSE hm2_7i92.0.encoder.04.index-invert
  479. 7 bit RW FALSE hm2_7i92.0.encoder.04.index-mask
  480. 7 bit RW FALSE hm2_7i92.0.encoder.04.index-mask-invert
  481. 7 float RW 1 hm2_7i92.0.encoder.04.scale
  482. 7 float RW 0.5 hm2_7i92.0.encoder.04.vel-timeout
  483. 7 bit RW FALSE hm2_7i92.0.encoder.05.counter-mode
  484. 7 bit RW TRUE hm2_7i92.0.encoder.05.filter
  485. 7 bit RW FALSE hm2_7i92.0.encoder.05.index-invert
  486. 7 bit RW FALSE hm2_7i92.0.encoder.05.index-mask
  487. 7 bit RW FALSE hm2_7i92.0.encoder.05.index-mask-invert
  488. 7 float RW 1 hm2_7i92.0.encoder.05.scale
  489. 7 float RW 0.5 hm2_7i92.0.encoder.05.vel-timeout
  490. 7 bit RW FALSE hm2_7i92.0.encoder.06.counter-mode
  491. 7 bit RW TRUE hm2_7i92.0.encoder.06.filter
  492. 7 bit RW FALSE hm2_7i92.0.encoder.06.index-invert
  493. 7 bit RW FALSE hm2_7i92.0.encoder.06.index-mask
  494. 7 bit RW FALSE hm2_7i92.0.encoder.06.index-mask-invert
  495. 7 float RW 1 hm2_7i92.0.encoder.06.scale
  496. 7 bit RW FALSE hm2_7i92.0.encoder.06.sel0.invert_output
  497. 7 bit RW FALSE hm2_7i92.0.encoder.06.sel0.is_opendrain
  498. 7 float RW 0.5 hm2_7i92.0.encoder.06.vel-timeout
  499. 7 bit RW FALSE hm2_7i92.0.encoder.07.counter-mode
  500. 7 bit RW TRUE hm2_7i92.0.encoder.07.filter
  501. 7 bit RW FALSE hm2_7i92.0.encoder.07.index-invert
  502. 7 bit RW FALSE hm2_7i92.0.encoder.07.index-mask
  503. 7 bit RW FALSE hm2_7i92.0.encoder.07.index-mask-invert
  504. 7 float RW 1 hm2_7i92.0.encoder.07.scale
  505. 7 float RW 0.5 hm2_7i92.0.encoder.07.vel-timeout
  506. 7 bit RW FALSE hm2_7i92.0.encoder.08.counter-mode
  507. 7 bit RW TRUE hm2_7i92.0.encoder.08.filter
  508. 7 bit RW FALSE hm2_7i92.0.encoder.08.index-invert
  509. 7 bit RW FALSE hm2_7i92.0.encoder.08.index-mask
  510. 7 bit RW FALSE hm2_7i92.0.encoder.08.index-mask-invert
  511. 7 float RW 1 hm2_7i92.0.encoder.08.scale
  512. 7 float RW 0.5 hm2_7i92.0.encoder.08.vel-timeout
  513. 7 bit RW FALSE hm2_7i92.0.encoder.09.counter-mode
  514. 7 bit RW TRUE hm2_7i92.0.encoder.09.filter
  515. 7 bit RW FALSE hm2_7i92.0.encoder.09.index-invert
  516. 7 bit RW FALSE hm2_7i92.0.encoder.09.index-mask
  517. 7 bit RW FALSE hm2_7i92.0.encoder.09.index-mask-invert
  518. 7 float RW 1 hm2_7i92.0.encoder.09.scale
  519. 7 float RW 0.5 hm2_7i92.0.encoder.09.vel-timeout
  520. 7 bit RW FALSE hm2_7i92.0.encoder.10.counter-mode
  521. 7 bit RW TRUE hm2_7i92.0.encoder.10.filter
  522. 7 bit RW FALSE hm2_7i92.0.encoder.10.index-invert
  523. 7 bit RW FALSE hm2_7i92.0.encoder.10.index-mask
  524. 7 bit RW FALSE hm2_7i92.0.encoder.10.index-mask-invert
  525. 7 float RW 1 hm2_7i92.0.encoder.10.scale
  526. 7 float RW 0.5 hm2_7i92.0.encoder.10.vel-timeout
  527. 7 bit RW FALSE hm2_7i92.0.encoder.11.counter-mode
  528. 7 bit RW TRUE hm2_7i92.0.encoder.11.filter
  529. 7 bit RW FALSE hm2_7i92.0.encoder.11.index-invert
  530. 7 bit RW FALSE hm2_7i92.0.encoder.11.index-mask
  531. 7 bit RW FALSE hm2_7i92.0.encoder.11.index-mask-invert
  532. 7 float RW 1 hm2_7i92.0.encoder.11.scale
  533. 7 float RW 0.5 hm2_7i92.0.encoder.11.vel-timeout
  534. 7 bit RW FALSE hm2_7i92.0.gpio.000.invert_output
  535. 7 bit RW FALSE hm2_7i92.0.gpio.000.is_opendrain
  536. 7 bit RW FALSE hm2_7i92.0.gpio.000.is_output
  537. 7 bit RW FALSE hm2_7i92.0.gpio.001.invert_output
  538. 7 bit RW FALSE hm2_7i92.0.gpio.001.is_opendrain
  539. 7 bit RW FALSE hm2_7i92.0.gpio.001.is_output
  540. 7 bit RW FALSE hm2_7i92.0.gpio.002.invert_output
  541. 7 bit RW FALSE hm2_7i92.0.gpio.002.is_opendrain
  542. 7 bit RW FALSE hm2_7i92.0.gpio.002.is_output
  543. 7 bit RW FALSE hm2_7i92.0.gpio.003.invert_output
  544. 7 bit RW FALSE hm2_7i92.0.gpio.003.is_opendrain
  545. 7 bit RW FALSE hm2_7i92.0.gpio.003.is_output
  546. 7 bit RW FALSE hm2_7i92.0.gpio.004.invert_output
  547. 7 bit RW FALSE hm2_7i92.0.gpio.004.is_opendrain
  548. 7 bit RW FALSE hm2_7i92.0.gpio.004.is_output
  549. 7 bit RW FALSE hm2_7i92.0.gpio.005.invert_output
  550. 7 bit RW FALSE hm2_7i92.0.gpio.005.is_opendrain
  551. 7 bit RW FALSE hm2_7i92.0.gpio.005.is_output
  552. 7 bit RW FALSE hm2_7i92.0.gpio.006.invert_output
  553. 7 bit RW FALSE hm2_7i92.0.gpio.006.is_opendrain
  554. 7 bit RW FALSE hm2_7i92.0.gpio.006.is_output
  555. 7 bit RW FALSE hm2_7i92.0.gpio.017.invert_output
  556. 7 bit RW FALSE hm2_7i92.0.gpio.017.is_opendrain
  557. 7 bit RW FALSE hm2_7i92.0.gpio.017.is_output
  558. 7 bit RW FALSE hm2_7i92.0.gpio.018.invert_output
  559. 7 bit RW FALSE hm2_7i92.0.gpio.018.is_opendrain
  560. 7 bit RW FALSE hm2_7i92.0.gpio.018.is_output
  561. 7 bit RW FALSE hm2_7i92.0.gpio.019.invert_output
  562. 7 bit RW FALSE hm2_7i92.0.gpio.019.is_opendrain
  563. 7 bit RW FALSE hm2_7i92.0.gpio.019.is_output
  564. 7 bit RW FALSE hm2_7i92.0.io_error
  565. 7 s32 RO 1 hm2_7i92.0.packet-error-decrement
  566. 7 s32 RW 2 hm2_7i92.0.packet-error-increment
  567. 7 s32 RW 10 hm2_7i92.0.packet-error-limit
  568. 7 s32 RW 80 hm2_7i92.0.packet-read-timeout
  569. 7 s32 RW 0 hm2_7i92.0.read-request.tmax
  570. 7 bit RO FALSE hm2_7i92.0.read-request.tmax-increased
  571. 7 s32 RW 0 hm2_7i92.0.read.tmax
  572. 7 bit RO FALSE hm2_7i92.0.read.tmax-increased
  573. 7 bit RW FALSE hm2_7i92.0.sserial.00.tx3.invert_output
  574. 7 bit RW FALSE hm2_7i92.0.sserial.00.tx3.is_opendrain
  575. 7 bit RW FALSE hm2_7i92.0.sserial.00.tx4.invert_output
  576. 7 bit RW FALSE hm2_7i92.0.sserial.00.tx4.is_opendrain
  577. 7 u32 RW 0x00000001 hm2_7i92.0.sserial.port-0.fault-dec
  578. 7 u32 RW 0x0000000A hm2_7i92.0.sserial.port-0.fault-inc
  579. 7 u32 RW 0x000000C8 hm2_7i92.0.sserial.port-0.fault-lim
  580. 7 u32 RW 0x004C4B40 hm2_7i92.0.watchdog.timeout_ns
  581. 7 s32 RW 0 hm2_7i92.0.write.tmax
  582. 7 bit RO FALSE hm2_7i92.0.write.tmax-increased
  583.  
  584. Parameter Aliases:
  585. Alias Original Name
  586. hm2_7i92.0.encoder.00.sel0.invert_output hm2_7i92.0.gpio.007.invert_output
  587. hm2_7i92.0.encoder.00.sel0.is_opendrain hm2_7i92.0.gpio.007.is_opendrain
  588. hm2_7i92.0.encoder.06.sel0.invert_output hm2_7i92.0.gpio.024.invert_output
  589. hm2_7i92.0.encoder.06.sel0.is_opendrain hm2_7i92.0.gpio.024.is_opendrain
  590. hm2_7i92.0.sserial.00.tx3.invert_output hm2_7i92.0.gpio.022.invert_output
  591. hm2_7i92.0.sserial.00.tx3.is_opendrain hm2_7i92.0.gpio.022.is_opendrain
  592. hm2_7i92.0.sserial.00.tx4.invert_output hm2_7i92.0.gpio.020.invert_output
  593. hm2_7i92.0.sserial.00.tx4.is_opendrain hm2_7i92.0.gpio.020.is_opendrain
  594.  
  595. Exported Functions:
  596. Owner CodeAddr Arg FP Users Name
  597. 00007 7f39e461b908 557822637f80 YES 0 hm2_7i92.0.read
  598. 00007 7f39e461b891 557822637f80 YES 0 hm2_7i92.0.read-request
  599. 00007 7f39e461b749 557822637f80 YES 0 hm2_7i92.0.write
  600.  
  601. Realtime Threads:
  602. Period FP Name ( Time, Max-Time )
  603.  
Add Comment
Please, Sign In to add comment